电工技术基础_电工基础知识_电工之家-电工学习网

欢迎来到电工学习网!

信号源的运用办法

2017-11-13 09:59分类:电工考证知识 阅读:

 


  在电子查验和丈量中,常常恳求信号源,生成只需在外部供应时才会有的信号。信号源可以供应“已知超卓”的信号,或许在其供应的信号中增加可重复的数量和类型已知的失真(或误码)。这是信号源最大的特征之一,因为仅运用电路本身,通常不或许刚好在需求的时刻和地址创立可猜想的失真。从计划查验到检定,从极限和余量查验到一同性查验,信号源可以用于数百种运用。
  因而,有多种信号源构造可供挑选也就短少为奇了,而每种构造都有各自的利益、功用和经济性,适用于特定的用处。在这篇文章中,咱们将比照两种信号发作构造:一种用于恣意波形/ 函数发作器中,一种用于恣意波形发作器中。挑选效果在很大程度上取决于运用。
了解信号发作办法
  恣意波形/ 函数发作器(AFG)经过读取内存的内容,来一同创立函数波形和恣意波形。大大都现代AFG 选用直接信号构成(DDS)技术,在广泛的频率计划上供应信号。
  恣意波形发作器(AWG)依据实在可变时钟构造(通常称为" 实在的arbs*1"),适用于在悉数频率上生成比照凌乱的波形。AWG 也读取内存的内容,但其读取办法纷歧样(后边进行了介绍)。处理抢先通讯和核算单元的计划人员挑选AWG,驱动选用凌乱调制和带有反常作业的高速信号。效果,AWG 占有了研讨、开发和工程运用的最高层。
  这两种构造在波形生成办法上有着很大差异。本技术简
介议论了依据可变时钟的恣意波形发作器和依据DDS的恣意波形/ 函数发作器之间的纷歧样。
透过前面板:比照两个途径
AWG:概念简略,活络性最大
  尽管AWG 在这两种构造中愈加活络,但AWG 的底层波形生成技术非常简明。AWG的播映计划可以视为“反向取样”。
  这是啥意思呢?看一下信号取样途径-- 示波器,它经过在接连时点上数字化仿照信号的电压值,来收集波形,其取样频率取决于用户挑选的时钟速率。得到的样点存储在内存中。

  AWG的流程相反。AWG开端时波形现已在内存中。波形占用指定数量的内存方位。在每个时钟周期中,仪器从内存中输出另一个波形样点。因为代表波形的样点数量是固定的,因而刻钟速率越快,读取内存中波形数据点的速度越快,输出频率越高。换句话说,输出信号频率彻底取决于时钟频率和内存中的波形样点数量*2。图1 中简化的方框图归纳了AWG 构造。
  AWG 的活络性源自其内存中存储的波形。波形可以选用任何形状;它可以有恣意数量的畸变,或根柢没有畸变。在依据PC 的东西的帮忙下,用户可以开发咱们想得到的几乎任何波形(在物理绑缚内!)。可以在仪器可以生成的任何时钟频率上,从内存中读取样点。不论时钟是以1 MHz作业仍是以1 GHz作业,波形的形状相同。
*1 工程师通常运用"arb" 来指任何类型的恣意波形发作器。
*2 当然任何AWG 类型都有最大内存容量。波形占用的深度或许要小于悉数容量。
AFG 在高频中选用高效的便当办法
  AFG也运用存储的波形,作为输出信号的根底。其样点读数中触及时钟信号,但效果相似。
  AFG 的时钟以某个固定速率作业。因为波形样点的数量在内存中也是固定的,因而AFG 怎样才调在改动频率上供应波形呢?例如,期望一下您正在运用一部AFG,它存储由十00 个样点构成的波形,以1 MHz 的固定速率输出。输出信号的周期将刚好固定在1 ms (1kHz)。很显着,单频信号源在大大都运用顶用处有限。因而,DDS 技术供应了一个处理计划。依据DDS的仪器不读取每个样点,而是读取不到十00 个样点,来重建波形。

  图2 是典型的简化的AFG 构造,其间包含DDS 段。输出信号由时钟、代表相位值的存储的二进制数字及波形内存的内容构成。
  如前所述,AFG坚持固定的体系时钟频率。360度时钟周期散布在悉数波形样点中,DDS 段依据波形长度及用户挑选的频率主动判定相位增量。
  高频设置会致使大的相位增量,使AFG 在经过360 度周期时活络向前跳,供应高频信号。低频值致使小的增量,触发相位累加器以较低的步长步进经过波形样点,
乃至会重复各个样点,构成360度,生成频率较低的波形。
  这一挑选计划欠好的数学运算超出了这篇文章的议论范畴。可以这么讲,AFG依据自个的内部算法越过挑选的波形数据点。因为相位增量办法,它并不是在每个周期中一向越过相同的样点数。AFG为生成改动的波形和频率供应了一种便当办法,但终究用户不能操控越过哪些数据点。
  这必定对输出波形保真度构成必定的影响。具有接连形状的波形(正弦、三角形等等)通常不是疑问,但或许会影响其时数字环境中多见的带有活络改换的信号,如脉冲和瞬变。例如,假定在新的电信交流机元件跋涉行极限查验。查验波形是一串二进制脉冲,其间一个脉冲在上升沿上有一个瞬变。在某些频率上,DDS相位增量或许会刚好越过瞬变,而不会作为信号的一有些在时钟中输出瞬变。对被测器材(DUT),信号相似于没有烦扰的脉冲流,因为短少任何实习“极限”,这种极限查验是无效的。

 
表1. AFG 与AWG 取样特征比照
  AFG构造的完毕本钱要低于全功用AWG东西集。效果,它非常经济,可以供各个工程师和科研人员运用。此外,AFG具有某些特有的功用优势。有些抢先类型具有任何波形发作途径中最优异的频率捷变性,即可以在纷歧样频率之间滑润切换,而不会在信号中发作不接连点。
表1 归纳了AFG 途径和AWG 途径的时钟和内存特征。
深化细节
  为非常好地比照AWG和AFG构造,咱们将进行简略的“事例剖析”。咱们将查询这两个途径处理界说输出波形的样点的办法。 
  这一比照触及三种仪器:最大取样速率1 GS/s的AFG;最大取样速率1 GS/s的AWG #1;最大取样速率2 GS/s 的AWG #2。
  咱们的方针是在3 MHz - 20 MHz 的频率计划内生成一个正弦波。这两台AWG和AFG都在十0点的取样内存中装有一个正弦波周期。图3闪现了这三个途径的特征怎样影响其使命处理办法。

上一篇:操控柜中操控回旅程号的通常挑选

下一篇:万用表丈量沟通电压和电流的办法

相关推荐

电工推荐

    电工技术基础_电工基础知识_电工之家-电工学习网
返回顶部