电工技术基础_电工基础知识_电工之家-电工学习网

欢迎来到电工学习网!

PLD的编程原理

2017-04-22 02:22分类:电工考证知识 阅读:

  下面以FPGA为例进行介绍PLD的编程原理。
编程数据存储单元以阵列办法散布在FPGA中,编程数据存储单元阵列构造如图1所示。存储单元为5管SRAM构造, 只需一根位线。其间T管为本单元操控门,由字线操控。数据以串行办法移入移位寄存器,而地址移位寄存器次序选中存储单元的一根字线,当某列字线为高电往常, 该列存储单元的T管导通,然后与位线接通,在写信号操控下将数据移位寄存器中一个字的数据经过各列位线写入该列存储单元。
编程数据依照必定的数据构造办法构成数据流装入FPGA中,编程数据流由开发软件主动生成。开发软件将方案转化成网表文件,它主动对逻辑电路分区、方案和布线和校验FPGA的方案,然后按PROM格局发作编程数据流并构成编程数据文件,终究还可将编程数据文件存入PROM中。


图1 编程数据存储单元阵列构造

上一篇:啥是拓展电路?底子沟通拓展电路的构成

下一篇:PCB印制板电容挑选

相关推荐

电工推荐

    电工技术基础_电工基础知识_电工之家-电工学习网
返回顶部