电工技术基础_电工基础知识_电工之家-电工学习网

欢迎来到电工学习网!

电位型触发器的VHDL描写

2017-07-29 22:00分类:电工考证知识 阅读:

 

通常触发器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Dchu IS

PORT (CLK,D:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END;

ARCHITECTURE FFQ OF Dchu IS

SIGNAL Q1:STD_LOGIC;

BEGIN PROCESS (CLK,Q1)

BEGIN

IF CLK'EVENT AND CLK='1'

THEN Q1<=D; END IF;

END PROCESS;

Q<=Q1; END FFQ;

上一篇:为何引进OC门?啥是OC、OD?

下一篇:数据挑选器的效果及其运用

相关推荐

电工推荐

    电工技术基础_电工基础知识_电工之家-电工学习网
返回顶部